How TSMC Builds a $19 Billion Fab

Video Statistics and Information

Video
Captions Word Cloud
Reddit Comments
Captions
The world runs on electronics and semiconductor  chips. Many of these chips are made in massive,   multi-billion facilities run by foundries  like TSMC, Samsung, and GlobalFoundries. Right now the world is going through a  protracted shortage of these semiconductors.   Especially in the case of TSMC, the  most advanced foundry out there,   there is only a limited amount of capacity  available for all of its hundreds of customers. So why can't TSMC just build another factory?   Obviously more factories  need to be brought online. Just how hard can it be? In this video I want to talk about the logistical  and financial challenges that foundries tackle   when building and running a new fab.  What are the thorny construction issues   and financial tradeoffs involved? I have done these engineering and construction  videos before for the Hong Kong Airport and the   Taipei MRT. I will link them in the description  below. Super fun but they are always complicated   and so much is left on the cutting room  floor. Please forgive me for any errors. Let us begin with the basics. A fab is shorthand for a semiconductor  fabrication facility. It houses offices,   locker rooms, storage facilities, test  and packaging rooms, and the cleanroom. These are massive facilities - aircraft carriers  on land. Take for example, TSMC's Fab 14,   a Giga-Fab located in my hometown of Tainan.  Completed in 2008 over the span of two years,   the multi-story building has over  114,000 square meters in floor space. And like an aircraft carrier, Fab 14 houses  its own cafes, cafeterias, convenience stores,   bakeries and bookstores. Unlike an aircraft  carrier, it has car parking. Everything needed to   manufacture wafers at scale. At full capacity, Fab  14 churns out 30,000 pizza-sized wafers a month. This is an amazing, spare-no-expense facility.  How does a company create such a thing? Imagine that you want to build and rent out rooms  in a 1,000 room resort hotel to take advantage of   a hot tourist region. Constructing the whole thing  all at once would take years. You are also not   sure how long the tourist boom is going to last  and if it will still be around when you are done. So ... what if you build and complete just part  of the resort first? You tell your construction   crews to start and finish, say, 300 rooms.  That gets done in a year and you can start   making money on those rooms right away. Once  the money is in hand you build the rest. This is, in short, how TSMC and other foundries  build a fab. Fab construction in its entirety   takes about 3 years from start to end. This  is a long time no matter how you spin it.   Semiconductor chips and the process nodes that  made them become outdated extremely quickly.   The profitable economic life of a  process flow is about 3-4 years.   Afterwards, the unit cost of  a chip drastically declines. Considering their staggering cost and the nature  of the business, you want to be able to get paid   back as soon as you can on your multi-billion  dollar investment. No company can have its $20   billion fab sitting idle at the site. TSMC needs  to get it up and running as soon as possible. So the company breaks down the entire construction  into separate and parallel packages or "phases".   Construction begins long before  the whole design is complete.   The process gets to production much faster, but  as a result, gets fantastically more complex. You start by figuring out where to put your new  fab. You are considering a variety of factors   when trying to decide on a site. What  are the tax incentives? How much land is   available to build on? Are there enough qualified,  well-educated workers around that you can hire? Available infrastructure like constant water  and power is especially critical. When AMD (now   GlobalFoundries) announced that it would build a  fab in New York's Luther Forest Technology Center,   local officials said that it would take  two years to get the necessary water,   sewage and road connections completed.  It ended up taking seven years. For that particular project, water  was the biggest obstacle. The local,   state and federal governments as  well as various private entities   pitched in over $65 million to build a  28 mile pipeline and a purification plant   on the Hudson river capable of purifying 14  million gallons of water a day. And that is in   New York, which for practical purposes has an  unlimited amount of water for industrial use. TSMC's fabs use a stunning amount of water.   In 2013, those fabs by themselves  generated 19 million tons of wastewater.   It is undoubtedly higher today. Tainan the entire  city that same year generated 29 million tons.   Taiwan gets a lot of rain but it suffers  from its own water supply issues.   As a result, Tainan has requested that TSMC's  fabs try to recover 85% of the water it uses. To meet those goals, the company built  a large rain collection system to   capture rainwater and store it in 700 ton  tanks. Wastewater generated from industry   is treated for 25 different  chemicals and can be reused.   If not reusable, then it is put into the  cooling tower to help maintain temperatures. Geographic and geological concerns also play a  role in placing the site. Taiwan happens to be   a very earthquake-prone location. I have another  video about the earthquake risk to TSMC's fabs   but to summarize, the company builds dampers  into the facility to reduce tremors by up to 40%.   These of course all cost money and  need to be done right and on time. With all of these considerations, the  cooperation (and tax incentives) of local   government is critical. California's Silicon  Valley no longer has fabs for a good reason.   They all had to leave for environmental  and resource constraint reasons. I did a video previously about TSMC's move to  Taiwan's south. There is also another regarding   its decision to enter the China market. Both are  worth checking out and are in the TSMC playlist. At the heart of a semiconductor fab is the  cleanroom. The cleanroom is where the chip   fabrication happens. Because a single  piece of dust can render a chip useless,   pure air is constantly pumped in and  anyone entering needs to wear bunny suits. The size of these rooms - TSMC's Fab 14  cleanroom is 31,000 square meters large   or over five and a half soccer fields  - combined with increasing demands on   air purity make clean room construction  pricier than ever. Their cost skyrocketing   as expensive HVAC machines have to be brought in  to maintain purity throughout such larger spaces. Fab cleanrooms have three types of  configurations: A ballroom style,   tunnel style and mini-environment style. The  ballroom allows for the maximum flexibility.   The tunnel, less so, but is also cheaper. The mini-environment, which is what TSMC  uses, comprises of compartments segmented   by the level of cleanliness. So you have one  compartment with class 1, another with class 10,   and class 1000. This helps keep costs down  as HVAC machines only need to maintain   strict levels of cleanliness in a fraction  of the overall room. It also allows TSMC to   start production in one environment while crews  simultaneously fill out the other environments. Speaking of filling out ... The equipment inside the fab  typically makes up to 75%   of the cost of the entire facility. So  selecting and acquiring the right equipment   is critical in making sure that the whole  fab is delivered on time and within budget. Tradeoffs need to be made everywhere throughout  the process. Here is one small example.   You are bringing in your equipment and  need to place it inside your cleanroom.   Where do you locate it? At the time of  cleanroom design, this might not be clear. So you decide to expand the range of your piping,   HVAC and utilities throughout  all your micro-environments.   This offers you maximum future flexibility to  deal with any unforeseen issues, but at the same   time bloats the budget. But if you don’t do this  right and mess up the placements then there’s the   potential of an even more expensive work stoppage.  So it is all about making the right trade-off. A cutting-edge ASML EUV machine can  cost over $150 million by itself.   The company only makes 50 a year. Making  sure that it is properly brought over   and installed in the cleanroom without  defects must be a nerve-wracking process. Accidents can happen. In one  anonymous insurance report,   I read about a $5 million piece of equipment that  got dropped as it was unloaded from an elevator.   The device looked fine on the outside but  inside it had suffered trauma and needed   to be returned to Japan for recalibration at  a cost of $200,000 and incalculable lost time. Generally, almost all semiconductor  foundries run their fabs on top of   precise data management and tracking. They  want to know how well their processes are   yielding and whether or not there can be  room for improvement. You want to get to   a good place as soon as possible so that the  fab can start making money for the company. And there’s always room for improvement. The  equipment is brand new. The process steps are   brand new. There is a lot of fat to cut within the  thousand or so steps that go into making a chip.   So teams on the ground should  have both the technical competence   and the leeway to make changes whenever they  recognize the opportunity. The engineers work   together with the operators and the technicians  to recognize, diagnose and solve such problems. Internal foundry teams also work very  closely with the vendors that make the   equipment they are using. The vendors know  the equipment best, after all. They made it. They also work with the customer to  make sure that the product they get   is the one that they want. This means that  for the most advanced nodes you want to make   sure that you are working with customers  you really trust. Newer, more unfamiliar   customers might start with more mature nodes  first before progressing to the hot stuff. As TSMC embarks on building its fab in Arizona -  its first advanced construction project situated   on the other side of an ocean - it is hard to  appreciate just how rough and unforgiving of   a task the whole thing is. I suppose it is  like what they say about ducks: You see a   duck floating on the water, but have no idea how  frantically it is paddling beneath the surface. To construct, equip and optimize a fab so  that it can start making on-time deliveries   of a fantastically advanced product  is hard. You are building a boat,   launching it into the sea, and setting sail before  you have finished installing half the engines.   And now they got to do it 11,400  kilometers away from home.
Info
Channel: Asianometry
Views: 200,523
Rating: undefined out of 5
Keywords:
Id: 785Uzi1mGAA
Channel Id: undefined
Length: 12min 50sec (770 seconds)
Published: Thu Apr 29 2021
Related Videos
Note
Please note that this website is currently a work in progress! Lots of interesting data and statistics to come.