David Patterson - A New Golden Age for Computer Architecture: History, Challenges and Opportunities

Video Statistics and Information

Video
Captions Word Cloud
Reddit Comments
Captions
I'm gonna have to bring Margaret with till they're all my talks and have her do our introductions so what I'm going to do is kind of a traditional talk for 45 ish minutes and I'm going to do the history of computer architecture in 15 and then talk about some big challenges facing the field and the opportunities and then I'll good questions answers and then I'll give you my life story lessons learned and then a Turing Award and I've added a slide just for this talk and because I the content that slide when I gave a talk at a forum a Turing Award winner Ivan so there's that that's the most important thing you've said the whole time so you get that at the very end all right but first you know we've got that Tory orator but I guess just a little over a year ago it was announced and my friend John Hennessy John John Hennessy John osterhaus at Stanford University said this amazing thing because used to be a faculty member there there's now been 51 touring Awards or 52 towards now seven of them were done at Berkeley which is a remarkable number so that night it's kind of say where are the people now where did they go to school stuff like that is the research that led to the awards were done in Berkeley so how many is seven that's as many as Stanford him.i a mighty put together that's somebody's so it's a lot so to celebrate that we hailed a series of lectures your last fall and they're all recorded and including I interviewed the Turing award winners kind of a personal thing so both the actual lectures which are pretty cool there but the interviews are really interesting too and I'd say you know themes of often people were doing something not the what got the Traymore was something that was not seen as conventional with some something that people thought was not a good thing to work on and they they gave their stories how they persevered so I'd encourage you to take a look at that okay 50 years to computer architecture I think there's a couple lessons we'll see them incorporated that software advances can inspires architecture innovation whenever we can raise the hardware offer interface that can create opportunities for innovation and the way we sell things in computer architecture here was they come up with these ideas we argue about them and then people's companies spent billions of dollars to put in the marketplace and see who wins it's not a perfect way to arbitrate but that's kind of way it works okay just in case some of us are forgot our computer architecture what is an instruction set architecture when software talks to hardware it has a vocabulary and those words are called instructions and the vocabulary instruction set architecture so a program is like a book it's written in the words of that vocabulary and it determines what software can run on that hardware so programs are written in particular to start to set architecture okay with that quick review let's go back almost 60 years to IBM and IBM had four instruction sets in that one company each had its own IO system its own software stack it's in different market niches and so the engineers in the early 1960s had this idea at IBM that they would bet the company that they could have a single instruction set that would cover all these four independent lines and they called that the IBM system/360 now the problem then and now in designing computers isn't kind of the Brawn isn't the data path the everything worth making it it's the control the brain that's the hard part to design so they needed a technique that could bring all these four kind of independent companies at IBM to be able to do the same instruction set so they went to Maurice Wilks the second winner of the Turing Award a computing pioneer and he had this idea of how to simplify control he said let's specify control as a two-dimensional matrix and we'll call each row of the matrix a micro instruction and the ones and zeroes will control the control lines why did he think there was a good idea it'd be easier to specify as a matrix also in his technology the logic was much more expensive than the memory read-only memory was a much cheaper and faster than Ram and so now programming the control was like programming that was idea so the IBM is part of betting the company decided to a Maurice Wilkes idea in April of 1964 they announced the biggest announcement in history of the company announced a family of computers there were all what we would call software compatible binary compatible today as you can see the smallest one was eight bits wide and the biggest one was 64 bits wide the memory speeds were different and in today's dollars the cheap one was one and a half million dollars and the expensive one was almost nine million dollars and so I'd bet the company on this idea and they won the bet they dominated the mainframe market for years this was really led by this the 360 effort was led by Fred Brooks who is another Turing Award winner and to this day those mainframe computers are still a 10 billion dollar a year market so 55 years later that's still today that what they did in the 60s is remarkable achievement all right so let's move now instead of the way they were building them then we started using transistors semiconductor transistors I mean for that so the logic and RAM and ROM are all the same thing the speeds about the same but Moore's law now applies because we're in semiconductors and so the memory for that microd code could get bigger and they basically have an instruction set interpreter inside it so a lot for a bigger instruction set interpreter a more complicated instruction set a classic example of that came from Digital Equipment Corporation where they had where the other ones were like 50 to 80 bits microcode and maybe a thousand words this said 5000 words each 96 bits and that was typically what was done now the microprocessor people now coming to the West Coast moved from New York to Boston now to the West Coast they weren't all that good at computer architectures so they kind of imitated with the other people did and then they would people are writing for these micro processors in assembly language so they'd show off a new instruction by showing how a simple thing with sequence that my computer's better than yours so Gordon Moore of Moore's Law Fame had this vision that the next thing that after their 8-bit microprocessor the 8080 was gonna last for the lifetime of the company so he decided you know as a visionary to hire a bunch of PhDs in computer science keeps him out of Northern California send them to Portland to design the next great instruction set that was gonna last for the lifetime of the company this was called the 432 eventually was originally the 8800 you know better than a DD incredibly ambitious even to this day ambitious it had ideas like a 32-bit address space with capabilities inside for security an object or an architecture it even had a custom operating system written in that time the new programming language ADA so really ambitious because it's gonna last the lifetime of the company alas it had all kinds of performance problems and usability problems and it was late so they had to go back to Gordon Moore in 1977 and say you had this idea of the next great instructions that were not to be in time so Gordon Moore was forced to launch an emergency project to provide a 16-bit computer in time for the marketplace this was called the 8086 the people who were doing it were given 52 weeks to do everything 52 weeks to design the thing develop the software stack and build the chip itself so they spent three whole weeks on the instruction set three whole weeks of instruction set maybe ten person weeks altogether and it was basically compatible at the assembly language with the prior level and it was announced with a lot of without much fanfare in in 1978 but they were on time unknown to Intel IBM was deciding that it was going to try to get into the personal computer market to compete with Apple and they really liked Motorola's microprocessor 68000 it was its instructions that was very similar to the 360 and it was kind of elegant but Motorola was late so they decided to go with the 8088 now at the time IBM had estimated that the sales over the next five years is going to be 200,000 which would be nice they were wrong they were off by a factor of 400 it was Todd as for that it was it was a hundred million so suddenly Intel's emergency project design in three weeks became this incredibly valuable instruction set architecture code was binary compatible with all the pc software that was booming in sales okay this next comes kind of a research analysis of these micro coded machines which are popular now what happened while this was going on is the hardware software interface was raised from assembly language to high-level language programming high-level languages existed before them but they were beliefs that in some circumstances he had to do in semi language like writing an operating system well the UNIX guys came along and wrote a whole operating system in a high-level language so that meant we could use high-level languages everywhere so now it mattered wasn't so much what you could assembly language programmer could write but mad at what was the output from the compilers that were that would translate the high-level languages so Johncock who was another Turing Award winner his group at IBM did work in both architecture but particularly in compilers and they had advanced compiler technology they thought they would do an interesting experiment they take that famous IBM 360 instruction set and instead of using all the instructions that you use the simple instructions like loads and stores and register register and what happened was once they did that subset instruction set it ran up to three times faster which was like a shocking by leaving things out they could produce faster code not using the complicated instructions so that was in New York and then we go over to Boston and some researchers at Dec who did the VEX I analyzed the VAX and they found first of all that the average number of clock cycles in this interpreter was ten so a ten clock cycles per instruction which was about twice as many as they expected and then they examined the micro code and 20% of the complicated instruction set was 60% of the micro code and was almost never used so this called in the question this idea of big complicated microcode interpreters and for these complicated instructions that's what's the benefit of all that so basically now if you go back your analogy so what's the reduced instruction set computer it's that vocabulary the instruction set architecture but it uses simple words now basically they're kind of as simple as micro instructions just not as wide which allows us to use pipelining techniques so that you could execute them on the average faster and and by the way the Syst constructions that were left out weren't used often every so how much did you lose that Ram that contained the micro code interpreter now could be the instruction cache so we could cache we could have a small buffer that would have the user visible instructions which in the microprocessor was you know time so it's kind of like compiling versus interpreting and you know that contents of that memory now would change to whatever program was running and there was a breakthrough and what's called register allocation for the compilers that called graph coloring that made it much more effective to use registers in compilers so this is that transition this is where Berkeley and Stanford come to the scene at Berkeley as a system professor I led a project that was done in for Berkeley courses on the quarter system where we investigated the ideas and eventually built a microprocessor that was called RISC ones two of the students in that group decided to build a more efficient one called risk two and at the same time Hennessy led an effort at Stanford University called MIPS to build those things and this was a smart remarkable in a moment in time in 1984 when these were presented at the leading circuits conference in San Francisco and I remember you know when the presentations were done there was this murmur in the audience of you know a couple thousand people and it it was a remarkable moment in time and that graduate students you know handful aggressives at Berkeley or handful of grad students at Stanford could build a microprocessor that was arguably better than when industry could build so we had to try and explain how risk would work you know there's simpler instructions so basically you had have to because they're simpler you'd have to execute more of them but what would happen is that we could execute them fast so that's kind of the informal thing so you can imagine if you were reading a book with complicated instructions that may take fewer or complicated words that take fewer words but you may take you longer to read them but if a simple words you mean them faster but that was kind of you know that was the qualitative one week actually was able to break that into a formula of the three pieces of the instructions per program they have its clock cycles on the wing and surprisingly in computer architecture that time didn't have formulas like this so John and I put that together in a textbook called the quantitative approach ever came out in 1989 and kind of the Turing award is kind of this slide I'd say is some part of it is our research contributions and the book that kind of explained a more quantitative approach to do computer architecture okay so so we're in this PC era where this is exploding and taking off Intel which is a great engineering company put together a 500-person engineering team which is a remarkable and had them build a better microprocessor so what they decided to do is if risk was a good idea but they want to be compatible with the PC software they would on the fly translate the x86 instructions into RISC instructions in hardware while the program was executing and then any good idea the Briscoes could use they could use plus they're compatible with all the software and they were got up to 350 million per year and so the x86 instruction set dominated not only the desktop of servers as well so basically the marketplace picked the PC x86 and PC era the post PC era things changed first of all it's not buying microprocessor from a company you're building a system on a chip and you're getting intellectual property of pieces to integrate on your chip so that's that's a change secondly because it goes into cell phones and things like that you care about cost and so diarrhea and energy as much as performance so that extra overhead of translating instructions was expensive an area and empower so that made a x86 unattractive the volumes are amazing more than 20 billion with processors 32-bit and 64-bit processors in 2017 and 99% those processors are risk so basically in market settles place settled and the post piece here at risk one okay so let's talk about some challenges going forward Moore's Law has been around you know as long as I before I was in computing really when I was in high school I guess the and he said doubling the Institute sisters every year and then he amended in 1975 to doubling every two years and but we're way off from that so that using Intel's technology or off a factor of 15 from where if Moore's law still continues today we'd have 15 times as many transistors so we're way off from that another and so we're in the post more holes here another lesser-known technology or observation is by Dennard it's called Dennard scaling is how can we keep putting so many transistors on the chip and not burning up it's because as we would double the number of transistors we would shrink the feature sizes and lower the threshold voltage and that would allow us to have basically the same number of transistors operating faster but using no power well then in around two thousand seven or eight that change so the blue line starts going up into the right as that we could no longer lower that threshold voltage so the power start going way up so we start reading in a power budget and that turned into kind of the end and the practical implication what people thought was Moore's law this is the first figure of our textbook and you know it started off with doubling beef before 1985 doubling every three and a half years in that risk era for almost 20 years that doubled every year and a half for those of people who weren't using computers in that time period you would throw your perfectly good computer away because your friend's computer was newer and lot faster and then you were jealous and so you'd throw away working computers because it wasn't as fat it was a two years old and your friends computers much newer people don't and today what's happened well the end of the nerd scaling we tried multi-core but there's limits to how many cores you can use so last time we measured it the performance went on only 3% per that's doubling every 20 years so do I just threw my laptop away why it broke and there was that I was not jealous of anybody else's laptop it just wouldn't work anymore so I had to buy another one the flash drive stopped working but so this idea of rapid improvement is over although our software colleagues expect the hard work to make their programs continue to go from a 20mm months there their appetite for improvement exists and at a time we run out of being able to deliver on it using standard techniques this is the point where if I was asking questions people would say what about quantum computing so that happened so much I decided I would quote a couple of slides to say quantum computing is not right around the corner so the good news is companies are investing in it and they've got some beautiful results but yet keep in mind we're not going to have a quantum cellphone right this is a this is something this is something in the data center you keep the vibrations cooling and stuff like that it's really high-tech science and the number one recommendation of this workshop that CRA did I guess a year ago was they need a killer app basically for the next decade given how limited number of qubits are gonna have they need to find something that they can calculate the people who care about otherwise people might lose enthusiasm for it so that was a CRA workshop that wasn't sufficient discouraging for some people so I got another one and this was done by the National Academies this released just last December and basically and it's got you know where it's the chera stanford chaired it and people in quantum computing from all over great places well establish people and they and the quote was basically the same thing so quantum computing is like a 2030 kind of thing hopefully hopefully in 2030 it may be useful maybe it will take longer than that so we need something for the next decade there's nothing coming down the pipe that will be commercially significant for the next decade another so you know more bad news is the security challenge is computer architecture was defined that is so it's correct as long as you get the right answer it doesn't matter how long it takes to work timing doesn't matter well the the specter bug and the melt up bug demonstrated that they can do timing attacks for something that is speculatively executing which is at the high end microprocessors which they all do and figure out what the values of those bits are so that was a real shock to computer architects so we have to do something about that so that's pretty bad Moore's laws over Dennard scaling is over there's nothing around the corner and the security problems are terrible so what do we do so John Gardner the person who gave us in the United States Medicare a kind of our version of universal haircut care for some people said what we have before is some breathtaking opportunities disguises soluble problems you know the definition of an optimist ok so let's talk about opportunities next phase the talk and the software centric you know people what people are programming in what we teach people to program in is these a wonderful scripting language is incredibly productive but not so great for execution and I'll quantify that the hardware centric thing as far as people like me know the only option left until quantum computing works is domain-specific architectures it concentrates not on general-purpose computing not lifting all boats but just on some narrow air and it does those well not that hopefully not too narrow but it's not general-purpose and you could do both and what are called domain-specific languages or maybe libraries for the productivity languages have raised that level of abstraction that lets us innovate below that so how slow are these scripting languages so this is a well done by lives of sin and Butler Lampson that MIT and the paper that's going to appear and they measured matrix multiply just to kind of scope hop editors so going from Python to C is a factor of 50 50 times faster right so as John says he used to do a compiler guy and this in C or C++ some language like that if you could make things go twice as fast you'd be like a hero right so there's an opportunity for a factor of 50 improvement if you can make Python run as fast as C so there's like touring orts on the floor waiting to be picked up if you only got a factor of 25 only a factor 25 faster but that's just starting okay look let's take it let's put it on a multi-port core computer how much more can we get speed-up and yet another factor of seven there how about we optimize it to the memory hierarchy of all the caches we get into the factor 20 and then of these you know these 512 bit instructions 512 bit data path instructions and there's another factor of 9 altogether that's the factor 63,000 if you only mean you might only get a factor of a thousand going faster by going from Python so there's what a tremendous opportunity to be able to do that and maybe it can be it may be it's not just compilers but maybe you would have some architecture support to pull that but there's an opportunity where there's orders of magnitude speed-up for innovation in running important programs in Python so I think I just said that the main specific architecture it's the idea the one thing I just want to keep in mind that it's not it's called basic for applications specific integrated circuit is that kind of describes the tool flow it's not really a chip that only does one thing there's still software so offer is still a good idea but it narrows it to a domain it's not supposed to be good at everything and why basically there's because it's narrow domain there's things that didn't work for general-purpose computers that can't work so for parallelism single instruction multiple data when it works is much more efficient than the MMD one for classic general-purpose multiprocessor similarly very long instruction words is much more efficient than the speculative out-of-order design that we do the latter two are more popular for general purpose but if you can get away with it the other ones are more memory bandwidth caches are one of the great ideas of computer science but there's times when caches don't work if you know the application well you can do a better job than probabilistically loading things and then you don't need all the precision necessary you don't need I Triple E floating point at 32 to 64 bit you made meal to get away with narrower integers so that's why these domains can win and like I said the domestic languages gives you a software path so what one are you going to improve here's an area where the number of papers are growing by Moore's Law Moore's law is still alive and the number of machine learning papers so if we're going to archive you look at the right areas it's you know when I it's probably more than a hundred papers a day if you want stay on top of machine learning you probably need to read a hundred papers an archive a day so a popular area google announced in 2016 i guess just to three years ago they said by the way we thought you'd like to know we've built our own chips for machine learning they're at least a factor of ten better than the competition thought you that's all they said right so that got the attention of everybody Intel went and bought a couple of companies in a machine learning this there's probably a hundred startups now that are doing harden for machine learning and Amazon has an asset ship that they did and other people of them then so here's an article about the first one they did this is what's called for inference the kind of the production part of it it's if you use Google you're using it it's it's it's used for that it's most famous use was to win the alphago the gold championship that was done in Korea and there's an article about it and the recent communications ACM if you're interested so it's really different on the inside than general-purpose microprocessors the biggest difference is what's the biggest difference is we'll see biggest difference is this multiply unit so typically you might have I don't know four or five multiply units that this is 65,000 multiplied accumulate units on that 65,000 of them since they do a multiply and accumulate the clock rate isn't that high had said in headed megahertz but sixty five thousand times to operations times seven hundred that's ninety two tara operations per second the other thing that's a distinguishing feature is the memory is rather than rather than having really big caches and things like that that dominate most chips these are just dedicated use for the the memory for neural networks it's you know like three or four times as much as you'd find in GPUs so it's really tailored to this application with a lot more compute units how much better did it work well comparing the GPU to the CPU it's maybe in term performance for a while it was a factor of three but we got factors of eighty over CPUs and 30 over GPUs you know for production this is not research machines production machines you get factors of two that's a big deal now the way we measured this was using production applications at Google which was great because that was important for Google but nobody else could duplicate this work because they can't have Google's apps so a year ago we started working on a ML perf and you think of it for those in computer architectures like the spec benchmarks week for ml is now there's 39 companies in seven universities they've had their first training deadlines the second one will be I think this month and they're gonna do inference as well so now we have a standard set of benchmarks for machine learning and what's happened in the past once you agree on benchmarks that's accelerated progress in the field because everybody can agree what goes faster but faster mean what's the demand for training this is by people that open AI in San Francisco there's Moore's law and if they look at the state-of-the-art how much training can they consume it looks like that right so it's performance instead of not doubling every month its doubling every three and a half months or a factor of ten every five years so if you're a computer designer you hate people who say computers are fast enough I don't need faster computer you don't like those people you like people like this right this I need every cycle you can give me I want a factor of 10 every year give me everything you have google has announced the first generation was for inference the second generation is for training which open AI once so what was announced two years ago was this this is for boards and it's a little hard to tell from the slag Ram but there's what are called heat sinks on it they're this tall and all the air has to flow because it's so hot and this puts 256 of them together and this is 11 pedal flops but make it a top 25 supercomputer now this is 16 and 32-bit floating-point operations because that's how you need for machine learning the supercomputers are you 32 and 64-bit floating-point so it's not quite the same flops so that was announced two years ago last year they announced the third generation and you can see that this is now liquid cooled they don't have the high heat sinks but there's you know liquids flowing through things to cool them off and they have a bigger system so this is now more than 100 petaflop switch would make it a top 5 supercomputer and Google puts these things into the cloud so you can go rent supercomputers for machine learning to help you satisfy your training workloads and battle is that have a lot of chips it can actually speed up pretty well here's a paper for a standard rest standard machine learning benchmark it is an ml perf and it's showing you how you can get these kind of classic curves where the more chips you do the the more that it speeds up ok so where are we in computer architecture debate it's a really exciting time maybe it's like the early days of parallel computing all these different bets are going on Google is betting on TP use they have 1 or 2 cores per chip really big multipliers on that instead of cash as a software controlled memory NVIDIA has lots of cores on the chip lots of threads to do this multi-threading they have 20 megabytes of registers the registers are bigger than their caches they have smaller multipliers and what's what's gather scatter and hardware and coalescing hardware that's their bed Microsoft so far what they've revealed it's been betting on FPGAs that stick FPGAs and every server in the data center and you can tailor it to a particular application as I said Intel besides its its standard course I'll wait for the music ok wait for the music stuff it's also got caches and stuff except they also bought altaira which was the big alternative provider of PJ's and they bought people who do things like the TPU a bunch of startups and at least a harder under startup so it's an exciting time people would like to tell me ask me I'm maturing award-winner I should know the future I don't know the future the marketplace is gonna settle this we'll know we'll look back you know ten years from now five years well back of course of course it was that one that was going to wind up but I can't tell which one's going to win and it's a very exciting interesting time kind of a cornucopia of architectures right now okay so one opportunity is two main specific architectures I was always jealous of people like Margo because she was into places where there was open source and what she did there's open source databases and open source operating systems so why those but not instruction sets so first of all who cares about instruction sets well it's like why can't Intel x86 sell mobile chips they're almost all the arm which is a RISC architecture arm can't sell servers there 99% and then IBM is still selling these mainframes as with this 16 year old architecture and it's because of the instruction set it's the most important interface to the computer whether the software meets the hardware so instruction sets really matter but yet we still don't have an open one now the doubts there's a downsize for proprietary instruction sets because if it's tied to a company what happens when the company runs into trouble the instruction sets get into trouble so Dec this company in Boston went out of business and it took three instruction sets down with it Intel a so far has abandoned for instruction sets that it tried so if you had your software in that you to have been switched mips has been was first sold to another company and then it's by one of these AI startups and then spark which I was involved with was acquired by article but they closed it down and arm was bought by another company it's certainly in business but it's been it you know people time to worry about who owns arm so that's a downsize part-time instructor sets with that we're doing risk five come from it's called miss five because it's the fifth risk architecture that was Berkeley I did the first four but Cristo sana and others thought that would reflect Berkeley routes by call you miss five we were gone into a project you know about 2010 what instruction said well x86 and arm made sense but a they were really complicated instruction sets and B they were restricted we weren't allowed to use them Intelli was funding us but they didn't want us to use that instruction set architecture so Christa and others decided to a three-month project to do the only own clean slate instruction set to graduate students and your Waterman gets a plea and I provided wisdom to the project it took them four years but they build a lot of chips as well as to find the architecture and then we had this weird thing is that if your neck edema companies complain about we do all the time but they started complaining about why are you changing your instruction set from fall the spring in your class and then why do you care what we do with our instruction set and we found out there was this thirst for an open architecture if they looked around at lots of them they found ours and liked it so we thought that would be a really cool thing to do once we understood that existed so we did it for our own use but the people came to us and wanted an open architecture so what's different it's free anyone can use it and I think what that means since anyone can use it there'll be more competition which will be more innovation right now there's probably more organizations building rough surely there's more organizations building risk five than any other instruction set architecture is for both of the cloud and the edge there's a 32 and 64-bit version a big thing that people are worried about right now security and a trustworthiness some countries are worried about getting cores from other countries because they're worried what's in it so if you just have it yourself you can design it yourself you know what's inside and also as I'll explain open the risk being open allows for open source cores so you can get ones and download them and see what there there's no secrets it's a simple modular instruction set first of all you know 25 years later you can learn from the mistakes of others so you don't have to make them and so it's much simpler than the other ones it's you know the manuals are I don't know a tenth the size or something like that because of this domain-specific architecture you need to be able to add custom instructions and there's space to do that and then because universities can lose enthusiasm for project people worried about betting on universities we looked at what the open-source software foundation software people did and they created a foundation so we created a foundation for risk 5 with owns it the foundation this is the foundation growth going up into the right a total there's almost more than 240 members of it this is some of the members the ones I'll mention are Nvidia announced that every NVIDIA GPU chip will have a risk 5 controller in it Western Digital announce that look for every disk drive they want to be computing to the disk drive it so every disk will have metal people that's probably a billion chip a billion cores per year or something like that and there's many others we expect to see more announcements a lot over the next couple years Oh lots of lots of parts of the world are working on risk 5 we just have a new CEO Calista who's joined us and expect good things we brought out it's in all the textbooks all the textbooks I'm associated with and we even did one online a thin one online and we made those available for free in many languages to help people undo that and we're not the only ones Nvidia announced and the open architecture for like the TPU a domain-specific architecture and they make the software free the instruction set architecture is open and the implementation is free so that's another example of open architectures this is an idea that's catching on ok and then there's some confusion about the open source architecture versus open source implementations so let me just go through that so there's specs like an instruction set architecture or POSIX like for operating systems and there's designs like risk five rocket or Linux and software and there's products around that okay so there's three types of these things three types of designs respects there's free and open that means anyone can use it you can design it yourself get it from others there's licensable like company owns that you pay to use it and there's basically close somebody owns it and you'll get in trouble if you use it okay so three of these three by three should be a matrix so how does that work out so if we do a closed spec the only thing you can do is close these signs right in a licensable spec then you can either do a closer design yourself and license proof of things so arm typically I've been told charges about five million dollars plus four percents if you license one of their designs and if you want the right to do it yourself it's about twenty five million dollars risk five is free and open so you can do all of these things so what's open source open source is that green box so you need an open architecture to have open these ions you can't have open source designs without without the open architecture okay what this what can happen with us so this is a former student of mine he was able to do this in seven months and it's a full risk five core it's got I think it was eight cores eight or it's eight regular risk five cores and twelve of these AI accelerators and he put this gether the board and he sells it for three dollars got a remarkable thing but they do that stuff like this in China I think another real opportunity with open architectures around security so for people have been in this a long time it's embarrassing how bad security is it's it's hard to explain why it's so bad but you know that's where we are today so security people like things that are open they don't believe through security through obscurity is not a popular idea they want everything to be open so they like risk five but I think another argument is be since it's open everybody can work on it and it's security is really hard we needed all the best months we went not just people at a couple of companies we want everybody including people in academia to work on it and then I think there's a great opportunity physically with risk five because there's a full software stack and a full architecture of implementation and FPGAs worked well enough you can fit everything into one FPGA and you can run it maybe 100 megahertz but still fast enough to run real software on it so we could go from security people being critics poking fun at Intel or armed for mistakes they made to putting something out there they can see if works you could subject to attacks you could offer rewards and so because it's an FPGA we could iterate at make progress at once a month for security what you to be realistic you have to has an intelligent opponent right you need to put something out there that the intelligent opponent can attack and see how well your ideas do right now we haven't done that so far and I think this is going to be hopefully a great opportunity to make security more progress and security desperately need and I or I think it's already that can become the kind of the most attractive instruction set for security people okay the last part software advances can inspire innovations one of the revolutions in software engineering was the agile development this was a manifesto that was done in 2001 that said instead of these heavy documentation specifications let's just do quick but incomplete prototypes by small teams and then we'll show it to the customer get feedback and that it that's a better way to do it or eight with small teams and and they do these sprints typically be to get the incomplete prototype and they would last about a month and so can we do that for Hardware the CAD software will do we'll do it but how can we do hardware with four-week cycles so if you think about it and this kind of expanding iteration if you can do it in the simulator that's just software right if you can evaluate the ID and software there's no reason that has to take more than four weeks field programmable gate arrays are a little harder but you can do billions of instructions or tens of billions of instructions so you can run a lot more software that's pretty easy to do and by the way you don't even have to buy FPGAs today they are available in the cloud you can rent them in the cloud so you have kind of no excuse not to evaluate the ideas ftj so you don't have to buy anything and then to get a chip you have to put it through this ASIC CAD flow if you want to get an idea not to get to see how much area takes or how much power you can kind of get what's called the layout of the area that's as necessary sit step for the chip now you can stop there you'd have a pretty good estimate of how much it would cost and how much power it used from that that's like you know running a marathon and stopping you know 200 yards before the marathon because I could accurately guess how long it would take to finish right the one thing we can do in Hardware that software people can't they're stuck in cyberspace you know they show their mom oh yeah yeah there's bits up there you know we can build physical things we can give them our mom's pins with our chips on it there's the excitement and when this chip comes back is it good work how much power is it how fast is it gonna go there so there's this kind of crescendo effect of building hardware provided you get the chip back well that seems like that would be really expensive it's not they've always been the ability to do test chips to see if the think is going to work very small chest chips but where we are with Moore's law small test chips have millions of transistors in them so you could put one of the risk 5 cores in the Nvidia accelerator in that chip so you could anybody can afford to build real chips today this is standard from TSM see if you want to build a really big chip it's much more expensive but small chips are within everybody's wheelhouse okay so Berkeley built and his result you know students at Berkeley have built lots of small chips so students come out having built five chips so they have really self confidence that they know how to build chips because they build a lot of months so wrapping up and before I do questions like so 50 years of computer architecture the lessons software advances can inspire architectural innovations we saw that micro programming and the wrist stuff these days it's too early to say but it was excitement about the open architecture and agile hardware development raising that interface led to opportunities like assembly language the high level language lip risk now these domain-specific languages and libraries are letting us do domain-specific architectures and that the marketplace settles these the base you know the the 432 lost out but you know winners can last decades this proprietary roasted open instruction sets and the essays versus general purpose ones it's too soon to tell but it's you know we'll see we'll let the marketplace settle this out but as John and I say and as as we wrote that we think this is an exciting time it's gonna be another golden age for computer architecture thank you okay questions get questions I need a first question Margaret didn't tell you I was a wrestler I'm not letting you out of here okay yes good I can do this I can do this in pounds and kilograms like had to I went to China and I to figure this out so when I was on my 50th birthday i benchpress through and and four people don't know weights there's all kinds of things you can do conventional wisdom is bench press is the thing that's the thing you talk about i benchpress 325 pounds which is a almost 150 kilograms I don't think that's not usually a question that yet but yes so aside from the ml applications do you see another domain that's sort of begging for oh yeah there's lots of them I think you know video encoding and decoding you know those are standards that evolve over time and people wanted to go better I think you could argue the GPUs of graphics there's one of those that's kind of graphics that's generating graphics you know there's um my colleague Jonathan Kelly did halide which is a system for analyzing images so I think you know there's this I guess the startup company here d-wave is to using doing regression is that right but but I think there's areas where there's there's a real market and a real need and if we want those to go faster as far as we know you have to do accelerators machine learning just was like felled in our lap right machine learning just took off and happens to be a pretty right now the models that we know are kind of understandable of all things they want matrix multiply if he if he asked a computer designer what do you let me to make go faster and he or she had a wish list he'd say matrix multiply I know that one I know if you said you know partier chasing I don't know what did that matrix multiply we know how to know how to do so that's telling their lap and machine-learning looks like it's good for you no translation speech recognition image analysis and it's you know working in a bunch of other areas so it's kind of surprisingly narrow it's got this the software stack that's in these higher-level systems and it's very important applicable so that's the that's the one that was sheer luck right that that that came along the bad news for machine learning people is Moore's Law ended just at the wrong time because they have this huge opportunity the first word machine learnings machines right they want faster machines so they can explore this space because we don't know how far it's gonna go so that I don't I'm pretty sure it's not limited it's like I said I think if you were to go to the computer architecture conference and ask anybody there they say as far as we know the only path left is these architectures and in fact the paper that I referenced there's room at the top by lysosome at all they're saying the future looks very bumpy right they're saying to pass with Moore's law it raised all boats and everything went faster well we're gonna see going forward is these narrow areas where you see these giant speed ups and other areas where nothing will happen so we're gonna have kind of a lumpy road going forward where people will figure out things how to get these big step increases and but other areas won't and this is something I'm trying to convey to my colleagues at Berkeley is Moore's Law is over and you know they're at less about 15 years ago I said the future is multi-core and this is that I don't know if you're right and I said the future nor is largely over and the people would argue about that but I'm confident that these things are happening they're gonna end they're gonna be big changes to our ecosystems right and and we're going to have to react to them so if you're a researcher or a student or you know I engineer this is our sighting time people need change they need new ideas if you're a business person these are scary times right if you're the CEO of Intel what the hell are you gonna do right these are this this is tough for financial prospects but exciting for people who like to develop new ideas great topic I think there's something called the question is if I if I building something myself am I gonna render patents and so I think that did happen with open-source software is that there were companies that would sue people for software patents so I think this is I'm good there's something I'm trying to work on that if it works I'll announce it and let you know about it but I think that the kind of the goal when I got to Berkeley as assistant professor they said Dave we don't care about number of papers you publish number citations we care about impact right so I think the real impact opportunity for open source hardware is to not ignore patents so the specific example you said suppose I copy something from 1960 you'd be golden right because patents last about 17 years so I think what the real challenge is to build open IP that anybody can use and be patent aware and so one idea would be exactly to use expired patents if this patent was expired you know was granted in in 2000 and and you reimplemented idea and that patents expired I don't understand how you'd be sued but I haven't run that past you know IP experts part of doing this would be to reach out to our lawyers and how do we solve this problem how do we build IP that's not gonna Lily leave this open to patent trolls and I think I think we can do it but we just have to be a little smarter about it but in if we do that I think we could have a giant impact do you foresee this stuff you mentioned before say capabilities in hardware you precede us this country mop what capability a believer do you foresee this stuff bringing idea yeah the question is about capabilities so there's a research group in Cambridge it was that excited about capabilities they're modifying risk 5 to do that so I think what's exciting about this is you get a whole software stack and a working implementation that you can put in an FPGA that's your starting point so then if you want to try ideas out you can go modify that so you don't have to start with a clean slate of paper and build all that so that's a you know and that's why many researchers are using besides the commercial consequences it's a perfect thing for researchers because you can actually build something that can work and you can see how well it worked yeah and there's low risk and I think there's a couple of at least two or three other people who are looking at capabilities in the architecture risk just to generate straight it's portability there's a 32-bit version 64-bit version kind of as a joke they also made 128 bit versions just to show that they could and there's some people who are excited about having that much address space for for security reasons so yeah it's a great vehicle for those kind of things I think we can be go into kind of since you know we could be synthesizing systems of the future to see how well they work in this in this with this current situation which is one of the reasons that we call to the Golden Age yeah Dave one of the things that has driven a lot of the development of computers is the communications that ties them together and really live by fiber optics do you see that question that has to do with communication speeds I don't personally see that as an obstacle you know now that I'm working in Google I can't tell you what they've what they've deployed I'm writing papers and hoping the reviewers will accept them so I can tell you but they they those they're pretty damn fast the the network that's connecting these computers together my colleagues at Berkeley have done a paper that was in nature where they showed how you could put optical directly on silicon right so that they could actually do that so the pack I think the packaging is the challenge for that but technically you can connect optical to to to CMOS and have it work so I I guess I don't see that one is the limit right now bigger challenges have to do around you know the memory capacity memory speed I think that's where that's got more focus the nineteen sixties or seventies very nice and yeah so the question is asking about with all these started companies doing just say is what's gonna be the impact for software so I think well most of those startup companies aren't gonna make it right on average 90% of the startup companies don't make it right so if you go to SARP company try to get a good salary cuz you know don't sacrifice your salary for your incredibly valuable stock because you know that may not work independent of the startup companies Hennessy and I think hardware is going to be more heterogeneous and soft we're gonna have to figure out how to incorporate software that way now I think the positive things about machine learning is if you think of an application having you know user all kinds of stuff in a bottle computing the amount of lines of code for like tensorflow or PI torch it's not many lines of code like thousands or thousands it's not me it's the lines of code so that part I can imagine being moved to the accelerators without you know hero work on that part of software if that's true about these other applications that I talked about like image analysis or yeah yeah image analysis or encoding decoding if it's a small compute intensive kernel you're kind of replacing a library I can kind of see how that works but I don't know how that that's all what's all going to happen in terms of the instruction set architectures for the DSA's if it's a split between a standard instruction set and then the you know the machine learning piece risk five is very popular there so I wouldn't be surprised if we'll see that kind of split well the one though and the one that's like that is weight computing they pick MIPS so it's a risk simple risk architecture with an axillary okay I think this pause so now you get to hear my life story and the most valuable slide that you say okay so and the reason I tell this story is some people particularly graduate students assume if you've had some success that your road was just skyrocketed right the top without a single distraction all the way there and so I need to let you know that's not the case so I was the first from my family to graduate from college oh I can do that with the controller so that was my goal I was a wrestler in high school in college and I had absolutely no plans to go to grad school the success was getting a bachelor's degree and then what happened was I was a math major because I did that in high school and there was at that time there was no undergraduate computer science degree that came a little bit later but I took a course from a new faculty member at UCLA and at the end of the course in my senior year I casually said I was working at my dad's company in downtown Los Angeles and I sure rather do you know computer stuff to make a living rather than support myself working the factory so all in his own he went and got me a job he talked to the faculty forgot me a job as undergraduate and then I was married at the time so I talked to my wife as wow this is fun maybe I could go get a master's degree and so she said well if you that sounds good and then I got put in office and everybody at any office was getting a PhD so I went back here and said what do you think about PhDs as well if you're you thinking do it why not so that's how I went to graduate school now we got married and had kids young and then we were living on a 20 hour week or a ship and then the grant ended so that was pretty bad so I got a job at a nearby company that built born computers and it took me three more years to grab because had two kids and was working and stuff like that and seven a half years to PhD so the great thing about being around forty years ago seven and a half years too peachy it's not bad today yeah that's not so bad it was really bad forty years ago by my in-laws at the time who were certainly my biggest fans we've referred to somebody who took a long time to getting a degree as pulling a Dave that was okay and then S&OP rehearse ER so my wife grew up near Berkeley here both of her parents went to Berkeley high school and she loved Northern California she was forced to move the son California when she was 11 years old so while I was in the interview process she kept asking me what about Berkeley what about Berkeley I told her I'm at UCLA you sillies lowing pecking order not much chance that that's gonna happen so she finally forced me to call the chair of the department at Berkeley to find out my application and so I called him on the phone I still remember the guy's kind of a famous mumbler so I had to concentrate harking hi I'm Dave Patterson I've got some offers but I hadn't heard the Berkeley it's the one place they haven't heard from if you're interested I'd be willing and so you remember my application and he says well Dave you're in the top ten but not the top five and I had this complete Thank You Ellen I hung up in complete relief because that wasn't as embarrassing I thought I found out later he said that to anybody who called but I was released but he took my application out huh and then he gave it to a guy who happened to be visiting Southern California and he stopped by my desk at UC lame he had a good conversation and I got invited to apply and I got a job offer and then the first project actually did with that guy was way too ambitious we were gonna do our own operating system and our own chips and all compilers and all that stuff and we had no resources no grants or anything like that fortunately I took a leave of absence to Boston at dick and it gave me three months to rethink my career and I came back and did the wrist stuff otherwise I'd surely got tenure if I hadn't taken that leave and then tenure wasn't easy computer science favors conferences almost all of their fields the conference papers come through noting that with accountants journal papers and the wrists stuff was too recent but I was able to squeeze through there okay and after that things got better although I'm still my papers still get rejected by the jerks on the program committee okay so this is uh this so of forty years as a professor of what worked well for me maximizing personal happiness versus personal wealth count on you growing up in Northern California I think I'm not Northern California you grow up in North America you kind of think to be happy you have to be wealthy that's kind of the things and so that's not true there are very wealthy unhappy people like our president my presidents he's not he's not real happy so I made decisions that made me happy so directly and necessarily for wealthy family first if you you know especially if it assistant professor or anybody who gets into a new career there's all these people asking you to do things and if you don't make your family first you can your family can be fifth so I that was so when think opportunities happen I I have two sons and so there was something called the Indian guides and we did anything guys together and I was the Cub Scout a den mother I think and and I was the assistant soccer coach and their field trips I was there and so when you're incredibly cute charming young sons grew up to be hokey moody teenagers and dad you were never there let's go over the list Indian guys Scott the coach all right well maybe you were around that dog and in fact speaking of family versus I'm helping my grandkids with their algebra right now I was passionate encouraged I like to think I'm a intellectual guy but really I'm as you probably can guess I'm pretty passionate and ironically the physical courage that comes from wrestling turned into intellectual courage I feel like when there's a some debate that it's my personal job to stand up if it not right intellectually and so this works into we swing for the fences they told me they value impact so we don't bunt for singles we swing for the fences the project has to be capable of having high impact for me to do it now in terms of the Kurds and standing up one of the things that had to stand up about was when DARPA cut funding to academia under the 2nd George Bush administration at LaSalle Scott and I tried to complain about this publicly about it and we couldn't get people to join us because they were afraid of the guy who's making these decisions for good reasons he he would probably cut people off but we thought it was our job to complain about it now the good thing about that is that if you well--there's what's the bad things about it the bad things about it is one of my colleagues quoted this next saying friends may come and go but enemies accumulate so you know if you think about it even even for undergraduates remember the people you hung out in junior high and he kind of stayed away enemies never forget so as far as I know I made two enemies my career one person who we didn't appoint who wanted to get appointed to a position there and I that was a I am happy with that decision and this guy at DARPA but now the guy at DARPA you know if you make you know the enemy of the enemy is my friends and he was a pretty unpopular guy so but nevertheless I think the thing to keep away and take away is you know pick your enemies carefully because they're gonna be around for your whole life I kind of believe just in my DNA that you can win as a team versus an individual surprisingly the wrestling teams are on in high school in college those coaches believe that if we bonded as a team we would be more successful even though wrestling is an individual sport and I just I just believe in my DNA that a team can be formed together and can win and protein Fred Brooks there's no losers on a winning team and no winners on a losing team right as long as you're on the winning team no matter what you're doing you win and if you don't if the team doesn't win you can't win I think I'm good at seeking honest feedback and learning about it somebody doesn't like your ideas send them your paper they'll tell you what you think and you can learn from that I think there's a flip side of this that's another lessons to learn that you can take away is the guaranteed danger sign is that I'm the smart if you meet somebody smartest person in the room so in my career there's about six of these the person who I didn't want to support for a position at Berkeley he thinks he's a smartest person in the room and he's kind of in my field everybody hates that the the founders of Enron thought they were the smartest people in the room and the founder and the CEO are both convicted felons okay the president of an Ivy League university whose name I will not reveal who thought he was a smartest person room duck a giant hole in your bi and was fired from his job because he was gonna spend billions of dollars to fill that hole with buildings and I even have an ex the the brother of a my ex brother-in-law thought he was the smartest person in the room and he's a convicted felon okay so why is this they probably are all smart I think what it is if you think you're the smartest person in the room you think you kick any useful feedback from anybody else because you're smarter than all of them right so you don't you just do things without getting feedback on it and that's a recipe disaster so besides that anyway so if you hear somebody who thinks the smartest person in the room one oh what one big thing at a time that was it was I still Rican remember you know kind of waking up on a sunny morning it was like god smoke spoke to me it's not how much it's not how many processes you start it's how many you finished it was actually that phrase rang in my ears it's kind of an obvious statement but once I heard that I was more careful when I volunteered to do things I didn't do only one thing year but I would do one big thing in year and small thing so when Hennessy and I wrote our textbook that was the one big thing we did that year when I was president of ACM that was the one big thing per year so and it turns out that if you don't at least by academic standards if you only do one thing big thing of year but you do that for like 30 years you can get a lot of things but I would consider that one and finally I'm a natural-born optimist I think you know especially in research there's times you're not sure you're not sure if you're going to make it or not I think it's better to err on the side of optimism so my story to illustrate my optimism goes back to high school and I'm 16 years old and I'm dating a girl who I really like and I'm asking her if she would be exclusive that we'd only date each other at the time we called that going steady so I screw up my courage Astrid goes steady she had gone on lots of dates she was only 16 and wasn't sure that being going steady was a great idea so she said well Dave you're such a nice guy I don't know how to say no so to me as an optimist and a logical person not a no is yes I said great and I hooked her so in her mind while I was hugging her she said well I'll let him down gently later but we've been married for 51 years now alright and here's my last slide so if you've been married well at 50 years it's a big deal you get like you get a letter from the president if you want a letter from that president but it's a big celebration so people when you've been there that long they want to know your secret so even for people who are you know marriage age or it's going to be valuable advice and if even if you're not file this away so it's nine magic words I'll even give you some hints it's for the words are I in you so the first and you have to say all these words right I was wrong you were right I love you you can't say I was wrong you were right you're a jerk okay and so these if you want a long relationship remember these nine magic words and with that I think I'm all done [Applause] well I could do more questions but I don't know am I too early so we can do questions all right all right I can questions for any part of my life or advice yes I did a question that says I'm you were saying security through obscurity isn't very popular just in the industry in general um it turns out you know security goes back hundreds of years of the when people were doing for spy crafts and stuff like that and this is a this this lesson of it which is I think comment you'll hear this if you take a security class goes back hundreds of years the idea that I know it will do will invent a mechanism and we'll keep that mechanism a secret and will encode a lot of important information in that secret mechanism that didn't work because eventually the secret mechanism would get revealed and then all the secrets would come out so in World War two the Japanese the Germans had the Enigma machine with a secret mechanism right and then once the British broke that you know they kind of it helped them lose the war so they believed the mechanism needs to be published so you can see if there's any flaws in the mechanism and then you can keep a piece a small piece secret but not try and do that so that's kind of that's the philosophy of kind of I don't know security or or encryption and that's going back for hundreds of years and that's how that community thinks so they like the idea of of open software and you know and one of the things we found out with the WikiLeaks what there was attempts by governments to insert things into the technology and so people that made people worried about what's in the hardware that they have so having open architecture and open implementations goes along with the goal of trying to have more secure trustworthy systems yeah are there any policy alternatives to like transistor base well well it'll be the questions alternative transistor based sequential computers so I don't know if computers are sequential anymore you know Oh single threaded machines well microprocessors have lots of threads right that I mean there's no problem building lots of threads but transistors are are incredibly attractive switches they can be manufactured in the hundreds of millions at very reliable low cost so it's a difficult technology to overtake those people have excitement about carbon nanotubes and things but apparently those are also like tennis years out before something is commercially viable so as far as I know there's nothing right around the corner because it's got to be you know fast and cheap and reliable and scalable and all those things so transistors are pretty amazing so even as they're slowing down they're not trivial to to replace you know what's going to you could get how sustainable is this domain-specific architecture given the ending of Moore's law and so like as a as an optimist however I did myself I'd like to believe that will keep coming up with ideas but David what's left at Princeton did a paper where he looked at a lot of examples of accelerators in other fields and he felt it was a one time step and after that you're back to whatever the underlying technology is so I'm kind of an optimist people will invent new ideas particularly in something that's moving as quickly as machine learning and be able to do that the good news about machine learning is it's highly especially the training piece it's very parallel so we can keep building bigger and bigger computers you know with even if the accelerators don't get a lot more efficient the other thing of course that Google has done is since we're building for the data center not building for you know desktop we can do more aggressive cooling things so we can keep the where's the ice well I said one of the slides is Dennard scaling this we can't raise the temperature anymore at room temperature in a data center Center you can keep doing that so there's some reasons to expect you know Google to keep building and other people to keep building big training machines that even if they won't be improving is about ease of customization so the Christmas on of itch and instantly and Andrew Warren did a startup company called Syfy's and their vision is to have like a webpage checkmark that you click the boxes and and you get a chip back with the boxes you click that's just custom for your design so they think I think they they're hoping to do that within a year or two now one of as I was talking to other particularly one of the if you doing it yourself one of the obstacles is just the cost of CAD software you can't software is millions of dollars they're designed for be used by Intel's of the world so they charge a lot so by doing it via a company like sy5 they've already paid for the licenses for that there's that this question about open IP you know how how do you can you populate this kind of webpage which lots of blocks right and that's kind of the nascent nascent industry and you have to make the software piece work you have to have an act how you're gonna get software to this and the those are those are some of the obstacles but there's reasons that be kind of to Mystic in a lot of these areas right the domain-specific languages you know people trying to do open IP you know so I think lets you know that Ganden part this argument about this next decade could be could be the Golden Age if we can address some of these problems then [Laughter] how how do we avoid hardware and software not talking past each other kind of we need in this era we need kind of the Renaissance person right to make progress in the main specific era you need to know the domain the kind of architecture and the main kind of software compiler technology architecture and implementation so that's if you can be this Renaissance person there's great opportunities there kind of old fashioned computer architecture people would all would have no idea about the applications right they that wouldn't be something they worried about they just it's some C program I'm gonna make that go faster and maybe not worried much about the filers if we go back to the early wrist days it really was compiler people and hardware people architecture people working closely together on this the main specific architecture will involve learning about the applications as well so that's where the opportunities gonna be so there's gonna be great opportunities there but it's gonna be a little harder do that you might want to go to graduate school for example well if graduate school I mean compared to when I graduated you know four years ago a lot of people get PhDs today to be able to do some of this work I don't know wouldn't think you have to have a PhD but a lot of people who do that work do have PhD and the argument is you can get exposed to a lot of people we'll teach you all these really important ideas that can be important for your career for another five years it may not be a bad investment to get that access to that technology all right but at least a master's degree could help about memory bandwidth is an opportunity that's a great point I don't see any breakthroughs I mean there's already this idea what's called high bandwidth memory where there's something that's called a like a kind of a chip interpose er where you put the logic chip and small stacks of DRAM with really wide buses thousand bit buses so four stacks each with a thousand bit bus so that's really high bandwidth but it has a limited number of chips that's kind of the state of the art that'll get slowly faster but not some big breakthrough but the another thing I didn't quite say is that what's happening right now in this domain specific architecture there's all kinds of ideas that were rejected for general-purpose computing are being resurfaced in this new era of domain specific so ideas like asynchronous logic design that logic chips that have no clocks analog computing right come back and so and there's another old idea that could come back that's called processor and memory that is the processor is in the memory chip it's you put processors in the memory chip itself so people are investigating all these ideas in this new domain and maybe one of these is going to is going to work and certainly if you put a processor in every memory chip that would be a true and because inside the memory chips is actually a lot of memory bandwidth we kind of narrow it down to get it off the pins but inside the chip is a lot of bandwidth there'd be tremendous memory bandwidth in there if that's the way to go and that that I mean that could be the way to go all these ideas are being looked at s1 okay go ahead you're thinking about future is multi-core so we said that but it does not sure is it the memory test for us or something else has changed no all the chip everything you buy is multi-core I mean you can't not you can't buy a cell phone that's not multi-core and so multi-core has happened it's it's it's it's not something that's about to happen it's it's history okay I'll do one more question yes so Google does most of its machine learning in the cloud whereas for prior series Apple saying well it should be done on the edge the same thing it's the same count that means the phone is equally challenged in terms of certainly for power and and speed-up so there google has announced something and they call edge TPU and there are many startup companies building machine learning accelerators for mobile devices the conventional wisdom is that you would train in the cloud and you would do inference on the edge that's pretty but there are people who are investigating to see if it's possible to do training on them you know millions or billions of edge devices as well that's one there's okay okay [Applause]
Info
Channel: UBC Computer Science
Views: 35,085
Rating: undefined out of 5
Keywords:
Id: kFT54hO1X8M
Channel Id: undefined
Length: 81min 7sec (4867 seconds)
Published: Fri Aug 16 2019
Related Videos
Note
Please note that this website is currently a work in progress! Lots of interesting data and statistics to come.