“The Decision of the Century”: Choosing EUV Lithography

Video Statistics and Information

Video
Captions Word Cloud
Reddit Comments
Captions
in 1997 asml and the rest of the semiconductor industry started looking at Next Generation lithography and they eventually decided on Extreme ultraviolet lithography but its development was delayed for a decade and cost billions of dollars it raises the question did they choose wrong was going with euv the right thing to do for this video we're going to look at the quote decision of the century end quote why asml and the rest of the semiconductor industry ended up choosing UV but first let me talk about the asianometry patreon Early Access members get to see new videos and selected references for those videos before their release to the public it helps support the videos and I appreciate every pledge and I recently added an annual subscription option too thanks and on with the show Optical lithography has dominated the semiconductor industry since the very beginning let me do the briefest refresher on your traditional Optical lithography machine there are four parts the exposure tool with its light source and optic system the photo mask and the photo resist we generate photons with the exposure tool those photons go through the mask with the design those photons then hit the resist coated wafer reacting to stencil in the design the reason why the technology is described as being Optical has to do with the fact that it uses photons and those photons pass through the masks and Optics system lenses lithography is a high productivity tool allowing us to mass print a super complicated chip design at high speed and is responsible for half of Moore's Law's annual progression it is kind of like the difference between copying out a long complicated book by hand as compared to printing it using a printing press the difference is revolutionary lithography is the mutant super descendant of that original printing press when we print increasingly complicated chip designs at high speed and volume that unlocks so much economic value that is why the semiconductor manufacturers keep buying these machines despite skyrocketing prices the first ICS were patterned in the late 1950s using contact printing where you press the mask hard against the wafer to expose it this caused defect issues so in the early 1970s they re-engineered the device to put a gap between the mask and the wafer projecting design onto the wafer projection printing but has technical progress continued on projection printing had resolution issues the fact there was a gap meant that there was blurriness for the chip Design's fine details so in the early 1980s the industry moved to step and repeat machines steppers these machines crawl across the wafer exposing just a small part of the design little by little this fixed our resolution issues but at the cost of higher defects and lower throughput I.E less Wafers done per hour since then the stepper concept has stayed the same only using increasingly shorter Optical light wavelengths for decades people have been predicting the end of the optical lithography technology regime the reason cited for this ending have been quite varied there is an economic argument pointing out that the ever increasing costs of more sophisticated lithography machines would snuff out their economic viability that first generation contact printer costs just twenty thousand dollars the projection printer costs 10 times that two hundred thousand dollars and then the stepper surged into the millions of dollars but despite the grumbling the industry bought these pricier machines because again they enabled the industry to sell more transistors at a lower cost and the world always hungered for more compute there is a second more technical argument forecasting the death of optical lithography this one argues that the industry needed to move away from Optical light because the wavelengths are too big but for many years the optical lithography industry proved them wrong by introducing new improvements to push back the so-called Optical limit in some form or fashion for instance the first lithography machines operated with the 438 nanometer G line and then the 365 nanometer eye line industry experts argued that it would be difficult to use anything shorter than the end of the eye line range at 240 nanometers so of course it was time to move on to X-rays and electron beams right but the introduction of 248 nanometer at 193 nanometer examer lasers as well as new improvements in lens design gave the industry New Life in 1995 the industry was putting the finishing touches on 193 nanometer duv lithography and semitec really felt that the time had come lithography wavelengths had always been smaller than the process node's feature size but this changed after the 250 nanometer process node which was done with the 248 nanometer duv laser but Innovative as ever the industry developed resolution enhancement techniques to print semiconductor features at sizes smaller than their wavelengths canceling the apocalypse for a few more Generations regardless sematec synced up to the aggressive scaling requirements put forth by Moore's Law aggressively pushed for the 193 nanometer laser to be ready by 1998 with a Next Generation system lined up right soon thereafter a successor had to be anointed and so in 1995 a committee convened to discuss what was called Next Generation lithography by the late 1990s various members of the industry had surfaced six major Next Generation lithography system candidates listed alphabetically they are 157 nanometer duv light Electron Beam direct right lithography extreme ultraviolet light ion beam projection proximity X-ray and scalpel projection Electron Beam various research institutes have studied these for a while now in some cases since the 1970s they vary but they're unifying characteristic is that they can print chip designs with higher resolution than the current 193 nanometer Dov I did a separate entire video on why 157 nanometer Dov failed so I'm going to set that one aside and go through the others Electron Beam direct right this is where we produce an extremely thin beam of electrons to draw patterns directly on the wafer there is no photomask this technology was the most mature the basis of this technology dates back to the 1960s with a scanning electron microscope it is also used today to write the masks themselves on the upside we do not need to produce a mask which saves us a lot of money e-beams also let us produce at a high resolution that traditional Optical lithography cannot the big downside however is that it is very slow impeding how many chips we can produce in a single hour using single beam writing means that we are going back literally to handwriting our Bibles this was not practical as a potential Next Generation candidate though something to add later in 2013 tsmc did publish a paper discussing the real possibility of using multiple e-beams at very high speed I don't think they did anything with it but that's interesting the concepts behind ion projection lithography or IPL have been around since the 1960s ions are electrically charged atoms we take a bunch of hydrogen or helium ions and accelerate them we then blast those ions through the stencil mask made of carbon-coated silicon just two to three micrometers thick ions passing through the masks then pass through a set of electrostatic lenses before hitting the resist coated wafer being just atoms ions are less subject to scattering effects than photons or electrons they go in a straight line like little Nano asteroids so this makes ion projection potentially more precise at the same time they're quite efficient at transporting energy into the resist you only need about one to ten trillion ions hitting per square centimeter which again gives you even more accuracy Electron Beam projection lithography combines Concepts from both Electron Beam direct right and ion beam projection lithography instead of using an electron beam to essentially carve the design onto the wafer we project the beam onto a four times mask which then scatters the electrons the electrons then pass through a magnetic lens and an aperture before hitting the resist coated wafer a variant of this technique called scalpel was invented in 1989 by Bell Labs 18t litter spun off Bell labs in 1996 has a company called Lucent one of the big technical challenges with Electron Beam projection had been the Electron Beam heating up and distorting The Mask causing defects so scalpel modifies the mass to scatter those electrons so to keep them from depositing their energy onto it along with scalpel and euv proximity x-ray had a lot going for it has a credible successor to Optical lithography sometimes also called x-ray proximity this is essentially a shadow printing technique updated to use high energy x-rays like as usual we have a mask with a chip design patterned onto it and a wafer coated in a resist we take the mask and place it in very close proximity with the wafer's surface like about 10 to 30 micrometers then we expose the whole thing to x-rays generated by an electron synchotron or metal plasma same as with euv the mask usually made from gold or tungsten absorbs X-rays and its Shadows get printed onto the resist notice that we don't use any Optics in this lithography system whatsoever that is one of the upsides lens absorbing all the high energy photons just don't have a lens problem solved IBM had been an early proponent for proximity x-ray lithography by the mid-1990s they invested over a billion dollars into its development the Japanese and the Americans were also big proponents the American government in particular focused almost all of their resources on it during the 1980s but by the 1990s they decided to diversify to several different Optical lithography Alternatives and thus we come to euv compared to the other three UV was the one most conceptually similar to traditional duv Optical lithography we still have the step and scan concept from the optical lithography era only that here we use wavelengths radically smaller than anything before use for optical 10 to 14 nanometers the name extreme ultraviolet is a bit misleading these are basically X-rays and that was reflected hot get it in the Technology's original name soft x-ray projection lithography some spicy acronym potential there but in 1993 a member of the Bell Labs team asked to change it to avoid confusion with proximity x-ray ergo euv this UV light soft x-ray whatever is very easily absorbed so the idea is to use small multi-layer coated mirrors to reflect the euv light towards the resist coated wafer the biggest technical challenges would be trying to reduce the number of defects in The Mask raising the power level of the light source and developing a thinner resist to account for reduced UV penetration first invented in Japan the U.S department of energy Laboratories Sandia and Lawrence Livermore research eov technology in the early 1990s ultimately contributing about 30 million dollars the first 1995 meeting gathered a bunch of leading technologists including representatives from the U.S National Laboratories and semitec as well as top semiconductor companies like Intel Lucent and IBM semitech's original timeline was to narrow down the candidates and anoint a successor system by the end of 1997. over the following year in 1998 they would coordinate global resources towards development for the four major candidates electron projection lithography ion projection lithography proximity X-ray and euv semitech produce a list of their top critical issues each issue had an assigned risk solution anticipated area of concern and potential showstopper for instance uv's number one issue and potential showstopper was producing defect-free multi-layer masks something that had discussed in a prior video the goal would be to have pilot projects in place by 2002 just in time for the 130 nanometer or 100 nanometer process node full production would commence in 2004. hitting the timeline was a priority the longer it took the more resentment would build right then in 1997 the semiconductor industry was in the middle of the 300 millimeter wafer transition which was taking longer than anticipated due to companies haggling over who would pay for it one executive in the middle of it grumbled quote feelings are running strong and deep there is a lot of mistrust now end quote if the industry didn't quickly anoint a successor then the equipment companies might have to go back and re-engineer their equipment after already doing so for 300 millimeters that was not ideal this ambitious timeline and formidable task was called the decision of the century with customers like Intel adopt them the industry's annoyed a successor would have to be economically favorable to existing options is it like a baby coming out of the womb ready to run with a professional Sprinter can the industry eliminate all the technical showstoppers in time for the anointed one to hit the 130 nanometer note if not then what can we do to the 193 nanometer lithography Tech to tide us over into the Cavalry arrives and can the anointed one be a multi-generational solution no matter which system they chose it would cost a lot of money considering the time and money involved sematec wanted this new lithography to last for at least two to three full nodes each of the members backed their own pet projects and the stakes were High having their project anointed could Vault them into a leadership position at the center of a new semiconductor generation I already mentioned that Bell Labs had a variant of Electron Beam projection lithography scalpel IBM invested a billion dollars into proximity x-ray that were also deeply involved in scalpel intel was backing UV Siemens have been working on an ion beam printer technology since the mid-1990s and asml for their part were involved in many different bits scalpel ion beam projection and euv this is characteristic of their Style in November 1997 the members convened again the goal of this Workshop was to anoint a successor but the smoke coming out of the Sistine Chapel chimney bloomed a black color they had failed to come to a consensus a year later in December 1998 another meeting in Colorado Springs at the end of this meeting the members managed to whittle down the four candidates to just two UV an electron beam protection why not ion beam projection and proximity x-ray with ion beam projection lithography it was about the maturity of the technology while ion beams have been used before for measurements lithography related r d only started in the late 1980s by 1995 many of the critical items like the mask had yet to be developed there were also some throughput concerns a common sentiment with all of the failed candidates later in 1997 Siemens teamed up with the vienna-based startup IMS on developing this technology under an r d program called Medea after four years the program ended without next steps and work on IPL largely ended a dead end and as for proximity x-rayed there were a few significant worries that nobody could answer since there are no projection Optics shrinking down the image we have to make the mask's chip design pattern the same size as it will be on the wafer with feature sizes hitting the 100 nanometer range in the mid-1990s this is hard secondly there are resolution concerns The Mask has to be thin due to energy absorption and Distortion concerns but they're often too thin and the x-rays pass right through them causing errors and third the smaller feature sizes got the closer The Mask had to be placed to the wafer for some process nodes it was calculated the Gap had to be less than 10 micrometers since the wafer is moving around very quickly during the exposure stage there were concerns this was not sustainable for their part asml discarded x-ray right off the bat never considering it a serious Contender the technology was built to challenge the optical lithographies of the 1970s not the 1990s it is good that the industry had to cut it down to two candidates but divisions ran deep when it came to anointing a final successor so deep that Gerhard gross director lithography for semitec suggested that the industry might move forward with both of the Next Generation lithography Technologies coexisting side by side on the same node such a suggestion is crazy nobody can afford to have two expensive incompatible Solutions floating around they needed consensus and to throw all of their resources behind it intel was a big proponent of euv in 1996 Congressional budget cuts meant that the department of energy could no longer fund euv work Beyond September 1996. with the euv team at risk of disbanding Intel stepped in and provided enough Bridge funding to set up a 250 million dollar private public collaboration known as EV LLC their support and backing greatly boosted euv's prospects in the industry UV LLC was a Consortium of semiconductor makers including Intel AMD and Motorola their goal was to commercialize euv by producing an integrated Alpha scanning tool for transfer to Private Industry being the leading player in this partnership Intel backed moving forward with UV they felt that the technology had the best chance of going multiple Generations providing continuity chuckwin UV LLC program director and Intel employee said uvl would be the production technology of the future we think this because of the reasonable cost of ownership and the fact that we have basically demonstrated all aspects of the technology on the other side of the Divide we have IBM Nikon and Bell Labs Lucent backing various flavors of their Electron Beam projection lithography its backers argued that UV was over engineered and too exotic to ever become practical they argued that EPL made a lot more sense and offered a great deal more continuity with the old Optical lithography regime in terms of equipment and processes furthermore The Masks would cost far less than what they would cost for euv while with all the issues regarding the defect-free multi-layer mirrors this combination of a cheaper simpler mask and resist was very tempting in 2001 Nikon's president so Ichiro Yoshida said in a press release we believe that EPL will provide our customers the capability to extend to sub 35 nanometer resolution but EPL suffered a serious problem it was too slow in January 1999 Bell Labs formed an electron beam production lithography joint venture with asml and Applied Materials called elith asml had worked before on EPL in conjunction with a team in the Netherlands they were quite familiar with it and the biggest concern they had with scalpel and other types of this lithography was again productivity and throughput in order for something like scalpel to work in high volume you need a good strong Beam with lots of electrons in them the problem is that the electrons in the beam have different distributions of energy and they interact with one another this causes the electrons to shuffle and Scatter ending up in what we call beam blur it destroys the high resolution and accuracy we used to get forcing us to take longer exposures on the wafer this blur puts limitations on how fast we can process Wafers a 1999 calculation prepared by a member of the Japanese Institute ASAT estimated that throughput could get as low as 4.8 Wafers an hour 13 to 23 wafers in ideal conditions this is far lower than euv's estimated throughput of 42 Wafers per hour and proximity x-rays 47 waivers per hour after working with other e-lift members for a few months asml realized that they too didn't know how to overcome the beam blur issue and thus they quietly left the partnership thereafter the other members of the partnership plus epl's various proponents continued development but they were unable to overcome these fundamental limits and e-lith itself shut down two years after asml left EPL ultimately fell short of its Great Expectations and the industry slowly began to turn towards UV asml included in 2000 asml acquired Silicon Valley group an American lithography company that had been part of the UV LLC Consortium after receiving approval from the U.S government they began implementing the technology in 2001 improvements with the euv light source and the mirrors LED experts to more strongly back euv then in March 2001 chuckwin told industry experts that there were no technological reasons standing in the way of production and it would be ready for the 70 nanometer node in 2005. thus finally in the fifth and final Next Generation lithography Workshop in August 2001 semitec and the rest of the industry anointed euv has the single Next Generation technology of choice for the 50 nanometer process node we have a pope wait 15 nanometer node I thought Gwyn said it would be ready for the 70 nanometer node the debate had forced people to rejigger their timelines pushing back UV from the 130 100 nanometer process node to the 50 nanometer process node one to two generations later in my video about the euv light source I talked about the ramifications this had on the euv engineering in order to fill the Gap the industry thought to do 157 nanometer lithography the laser making companies heavily backed this approach in 1998 saying that it was a way to put off next Generation lithography until at least 2010. this sudden diversion into 157 nanometers essentially distracted the rest of the industry from working on EV delaying its commercialization by an estimated two years 157 nanometers was supposed to be easy but in the end it turned out to be not very easy the issues with the calcium fluoride lens materials the resist and The Mask were substantial and challenging to overcome you know how it is you start on something you think is simple and it ends up being a monster and you get dragged into it for a long time I feel the same way about this video then in 2002 tsmc's Bern Lin proposed the concept of 193 nanometer duv immersion using water to improve the resolution of older duv Technologies asml quickly signed on to this eventually shipping the first immersion machine the year after finally in 2003 Intel dropped work on 157 nanometers slimming the lithography portfolio to just two items immersion lithography or 193i and euv I know I know another lithography video the last one did pretty badly and now I'm doing another one why what am I thinking well I started working on this video partially because I was curious about the story and also because I wanted to know if one of the runner-up lithography Technologies might still be as viable as a Next Generation technology I wanted to know if any of these can possibly follow UV after doing all this reading and researching I do feel like that the industry made the right choice with euv none of the other candidates with perhaps the exception of ion beam projection could really strike that balance between feasibility accuracy and economics that the Fabs need so now I am wondering what indeed comes next after high n a eov ion beam production a new version of e-beam direct right I've heard some people suggest things like Nano imprint lithography or the like but others have told me that Nano imprint will never work out I'll have to take another look down the line all right everyone that's it for tonight thanks for watching subscribe to the Channel Sign up for the newsletter and I'll see you guys next time
Info
Channel: Asianometry
Views: 158,162
Rating: undefined out of 5
Keywords: asianometry
Id: RmgkV83OhHA
Channel Id: undefined
Length: 24min 28sec (1468 seconds)
Published: Sun Jun 11 2023
Related Videos
Note
Please note that this website is currently a work in progress! Lots of interesting data and statistics to come.